SystemVerilog Verilog

Open-source SystemVerilog projects categorized as Verilog

Top 10 SystemVerilog Verilog Projects

  • scr1

    SCR1 is a high-quality open-source RISC-V MCU core in Verilog

  • projf-explore

    Project F brings FPGAs to life with exciting open-source designs you can build on.

  • InfluxDB

    Power Real-Time Data Analytics at Scale. Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.

    InfluxDB logo
  • VeriGPU

    OpenSource GPU, in Verilog, loosely based on RISC-V ISA

  • eurorack-pmod

    A eurorack-friendly audio frontend compatible with many FPGA boards.

  • riscv-simple-sv

    A simple RISC V core for teaching

  • BrianHG-DDR3-Controller

    DDR3 Controller v1.60, 16 read/write ports, configurable widths, priority, auto-burst size & cache on each port. VGA/HDMI multiwindow video controller with alpha-blended layers. Docs & TBs included.

  • Tiny_But_Mighty_I2C_Master_Verilog

    I2C Master Verilog module

  • SaaSHub

    SaaSHub - Software Alternatives and Reviews. SaaSHub helps you find the best software and product alternatives

    SaaSHub logo
  • FPGA-Video-Processing

    Realtime video processing w/ Gaussian + Sobel Filters targeting Artix-7 FPGA

  • libsv

    An open source, parameterized SystemVerilog digital hardware IP library

  • ulm-on-ice

    ULM (Ulm Lecture Machine) on ice40

  • Project mention: Building your own computer with an FPGA | /r/FPGA | 2023-10-22

    I used a Lattice ice40 FPGA (e.g. icebreaker) FPGA to implement a simple RISC microprocessor. For the hardware description I used SystemVerilog and an open source toolchain. The source code is on GitHub.

NOTE: The open source projects on this list are ordered by number of github stars. The number of mentions indicates repo mentiontions in the last 12 Months or since we started tracking (Dec 2020).

SystemVerilog Verilog related posts

  • Tiny GPU: A minimal GPU implementation in Verilog

    3 projects | news.ycombinator.com | 24 Apr 2024
  • Is there a completely free computer?

    1 project | /r/linux | 3 Oct 2022
  • Skid Buffer

    1 project | /r/FPGA | 23 Jul 2022
  • Looking for a suitable open-source RISC-V for an embedded project

    5 projects | /r/FPGA | 4 Jul 2022
  • VeriGPU: GPU in Verilog loosely based on RISC-V ISA

    1 project | news.ycombinator.com | 23 Apr 2022
  • RGB to Grayscale Conversion

    1 project | /r/FPGA | 28 Oct 2021
  • Mikron MIK32 – Made in Russia 32-bit RISC-V MCU... for about $6

    1 project | /r/RISCV | 10 Sep 2021
  • A note from our sponsor - InfluxDB
    www.influxdata.com | 1 Jun 2024
    Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality. Learn more →

Index

What are some of the best open-source Verilog projects in SystemVerilog? This list will help you:

Project Stars
1 scr1 785
2 projf-explore 533
3 VeriGPU 627
4 eurorack-pmod 164
5 riscv-simple-sv 145
6 BrianHG-DDR3-Controller 60
7 Tiny_But_Mighty_I2C_Master_Verilog 22
8 FPGA-Video-Processing 21
9 libsv 19
10 ulm-on-ice 2

Sponsored
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com